美对华半导体管制的趋势、实施要点与中国因应

2024-04-25 23:35杨超李伟贺俊
产业经济评论 2024年2期
关键词:半导体芯片

杨超 李伟 贺俊

摘 要:针对不断升级的中美半导体领域争端,本文梳理了美国对华半导体管制的趋势与要点。从特朗普政府到拜登政府,美对华半导体管制呈现出由“有限出口”向“全面出口管制”、由“5G”延伸至“AI”、由“大棒”扩展为“胡萝卜加大棒”、从“单边约束”扩展到“多边合围”的趋势。从管制要点来看,拜登政府在半导体产业链的所有关键环节都设计了阻碍中国技术进步的方案,不但限制高算力芯片成品对华出口,而且限制产业链上游的芯片制造设备、零部件、芯片设计软件出口以及产业链下游的算力租赁服务。针对美政府全方位围堵,本文提出对外强化与非热点半导体国家的联系、重视非传统技术路线半导体开发、借鉴比利时微电子研究中心运作模式发展共性技术机构等产业突围思路。

关键词:半导体;芯片;中美竞争;产业竞争

半导体一直是中美贸易争端与科技争端的焦点,特别是在5G 领域对华围堵失败后,美政府“反思”并加大政策查缺补漏,企图在人工智能领域扼杀中国。在此背景下,美国不断扩大自主裁量权,从特朗普政府的《人工智能倡议》到拜登政府的《国家安全战略(2022 年版)》,对半导体的限制从最初的关税措施扩大到现今的出口管制、投资审查、芯片联盟等长臂管辖,限制外国企业对华出口,全方位阻挠中国半导体产业追赶。现有研究倾向于分析“实体清单”、《芯片与科学法案》等具体事件的冲击,缺少对美国提出的一系列针对中国半导体的围堵政策进行系统性探讨。本文在回溯美对华半导体管制演进的基础上,分析现阶段拜登政府对华管制的要点,以研判美国政府半导体产业政策的态度及下一步动向,并从中寻找可能的突围方向,为应对不断升级的半导体管制提供更多的突围思路和建议。

一、美对华半导体管制的趋势

(一)管制策略从“有限出口”转向“全面出口管制”

在2018 年对中兴实施出口禁令之前,美国对华芯片管制的基本态度是“有限出口”,同年10 月“新美国”智库提出了旨在打压中国科技进步的“小院高墙”对抗策略(Laskai et al., 2018),美国改变了此前对华有限出口政策,开始基于“全面出口管制”态度限制中国芯片产业发展(黄日涵等,2022)。一系列限制措施密集出台并频繁更新:2018 年修订的《出口管制改革法》①扩大了政府的自由裁量权,允许商务部工业与安全局按需更新“管制条例实体清单”对中国芯片企业实施出口管制(韩召颖等,2023);2022 年9 月,美国国家安全顾问杰克·沙利文(Jake Sullivan)提出,美国对华竞争策略应从“保持相对优势”切换为“保持最大领先优势”①,该构想在同年10 月白宫发布的《国家安全战略》②中即得到官方响应,将中国描述为“美国最重大的地缘政治挑战”;2023年10 月,美政府更新了一系列针对中国的人工智能芯片和半导体设备出口限制措施,商务部部长吉娜·雷蒙多(Gina Raimondo)表示,新措施旨在堵住相关规则的漏洞并且未来“至少每年更新一次”,以防止中国“人工智能和复杂计算机技术的突破”。

(二)管制重点由“5G”延伸至“AI”

随着大模型、无人驾驶等人工智能(AI)应用快速发展,AI 芯片已超越5G 芯片成为美国政府卡中国脖子的新武器。观察美国商务部历次更新的“实体清单”可发现,2019 年之前美国对华芯片管制的重点是5G 芯片及通信领域,被列入清单的主要是华为及其子公司、亨通光电、中天海缆、华海通信这类通信企业。在特郎普政府签署《美国人工智能倡议》后,美国从国家战略层面调动更多资源用于围堵中国AI 产业,对半导体企业的管制由5G 芯片逐渐扩大到AI 芯片:2019 年10 月,国内最大的AI 独角兽企业商汤科技被列入清单;2021 年6 月,国内图形处理芯片(GPU)领先企业景嘉微被列入清单;2022 年12 月,曾为华为提供AI 处理器的寒武纪被列入清单;2023 年10月19 日更新的清单显示,新列入的13 家中国企业全部是AI 企业壁仞科技、摩尔线程及其子公司或关联企业(见表1)。目前清单上的535 家中国大陆企业中有86 家AI 企业,已超过通信企业数量(见图1)。鉴于AI 在新一轮产业革命发展以及国防军事竞争中的重要战略意义,可以预测,随着大规模计算的发展,未来美国将会进一步强化对我国AI 领域的战略打压,除了GPU 芯片,可能还会在高带宽存储芯片等支撑AI 发展的关键领域对我国收紧限制。当前,高带宽存储芯片生产供应主要集中在SK 海力士、三星两家韩国企业,鉴于G7 会议之后美韩战略合作不断提升,美国可能在“芯片四方联盟”机制下进一步联合韩国对我国实施高带宽存储芯片出口管制。

(三)管制手段由“大棒”扩展为“胡萝卜加大棒”

以2019 年国家集成电路产业投资基金二期完成募资2041 亿人民币为标志,我国在半导体领域不断加大的资助与扶持力度部分削弱了美国管制的效果。在管制“大棒”日渐式微的情况下,美国不得不提供“胡萝卜”吸引半导体投资回流。2022 年拜登政府提出《芯片与科学法案》①,向半导体行业提供资金和税收奖励:在资金奖励方面,拨付527 亿美元设立“芯片基金”“国防芯片基金”“国际技术安全与创新芯片基金”“芯片教育与人力资源基金”,用于资助半导体研发、与外国政府协调共同开发通信与半导体技术、促进半导体人才培养。在税收奖励方面,对半导体制造企业和半导体制造设备企业给予投资额25%的税收抵免。拜登在法案签署新闻发布会上阐明了美政府对该法案的期待:“我们曾让芯片产能散落海外……如今会将它们带回国内。”

美国在掏出“胡萝卜”吸引投资的同时,仍不忘挥舞“大棒”胁迫相关企业与人员选边站(见图2)。例如,《芯片与科学法案》禁止中国公司参加美国制造计划(第10263 条);限制向主办或支持孔子学院的机构提供资助(第10339A 条);参与“不怀好意的外国人才计划”(Malign foreigntalent recruitment program)的个人不得受资助(第10631 条、10632 条)。此外,2023 年3 月美国商务部补充的《芯片与科学法案》“护栏条款”(guardrails for CHIPS)②规定,申请补贴的半导体企业需提交不同芯片种类的产能、预期收益率、生产第一年销售价格、以后各年度产量和销售价格增减等信息。9 月更新的“护栏条款”规定,禁止使用资助资金在美国境外建造、修改或改进半导体设施,严格限制受资助企业今后10 年内在中国和俄罗斯等“受关注国家”投资扩大半导体产能,限制受资助企业与“受关注的外国实体”开展联合研究或技术授权许可。同期发布的“拟议规则制定通知”进一步量化了上述限制,对中国先进产能投资的支出上限设定为10 万美元,禁止获得美国资金的半导体企业在中国将先进制程产能扩大超5%、成熟制程產能扩大超10%。

美国的胡萝卜与大棒已产生了一些显性后果。一方面,美国对本土以外的芯片类投资持续施以长臂管辖。2021 年,美国以“担心中国将技术运用于提高军事能力”为由,先后否决韩国SK 无锡工厂极紫外光刻机升级计划和英特尔成都工厂扩产计划;2022 年,美国商务部向全球第二大半导体设备厂商科林研发(Lam Research)和半导体材料与设备公司科磊(KLA)致函,禁止向中国大陆出口14nm 以下制程的芯片生产设备;2023 年,拜登先后会晤荷兰和日本首相,敦促两国支持美国的对华出口管制,特别是就阿斯麦(ASML)向中国出售光刻机持续向荷兰政府施压。另一方面,拜登政府已成功游说主要晶圆代工企业赴美投资先进制程工厂。台积电2021 年宣布在亚利桑那州投资120 亿美元建立5nm 及以下制程晶圆厂,2023 年8 月首台极紫外光刻机(EUV)已开始安装;三星2021 年宣布投资170 亿美元在德克萨斯州建立4nm 制程晶圆厂,并向德克萨斯大学、德克萨斯农工大学提供资金支持半导体人才培养;SK 集团2022 年宣布对美投资150 亿美元用于半导体领域,并与当地大学合作开展半导体研究;同时,拜登政府也正在积极撺掇美国半导体企业与中国解耦。戴尔已宣布计划在2024 年前全面停用中国芯片并计划在2025 年将50%的产能移出中国,惠普也在评估将生产和装配线迁出中国的可行性。台积电、三星在半导体代工领域的全球市占率超70%,再加上格罗方德、英特尔等美国企业,美国政府可影响全球半导体80%以上产能,从而卡住中国半导体产业的脖子。

(四)管制力量从“单边约束”扩展到“多边合围”

2021 年2 月,美国信息技术和创新基金会建议美政府“开展多边出口管制合作”,与盟国协调外国直接投资筛查、加强信息共享、联合研发等以应对中国挑战①。以此为行动理念,拜登政府比特朗普政府采取了更为广泛的连横策略,组建了政府间以及政府指导下的协会、企业、研发机构等不同层面的联盟,试图建立对华多边“合围圈”(见表2)。

政府层面,拜登根据技术政策顾问马丁·拉塞尔(Martijn Rasser)提出的“美国应首先带头建立晶圆厂联盟,协调半导体制造设备的出口管制政策,重点限制对华出口”的建议(Rasser et al.,2020),于2022 年3 月邀请日本、韩国与中国台湾地区组建“芯片四方联盟”(CHIP4)(见图3)。该联盟中,日本拥有晶圆材料56%的市场份额,在光阻剂、光刻胶、大硅片等稀有原材料和薄膜沉积设备方面拥有多家隐形冠军企业;韩国与中国台湾地区是全球主要的晶圆代工基地和芯片封装、测试、标记中心,三星、SK 海力士、台积电等全球主要晶圆代工厂都集聚在这两地;美国则拥有电子设计自动化、芯片设计方面的优势,同时也是仅次于中国的第二大芯片需求市场。按美国计划,四方成员囊括了设备、原料、设计、生产与应用环节,可构建起将中国大陆排除在外、由美主导的半导体生态闭环,实现对华半导体产业的联合封锁。目前四方联盟已开展广泛的合作,除了上文提及的台积电、三星、SK 增加对美投资以外,台积电与索尼宣布将在日本合资建设晶圆厂,东京应化工业扩建韩国光刻胶工厂计划将产能扩大一倍,大金将在韩国新建电子特种气体工厂,昭和电工材料计划在韩国和中国台湾地区扩大硅晶圆研磨材料和布线底板材料的产能。

协会层面,欧盟与美国在2021 年美欧峰会上宣布成立美国欧盟贸易与技术委员会(TTC)以重构全球半导体供应链布局、协调AI 等关键技术出口管制政策与行动并维持技术标准话语权(Grove et al., 2021; 刘宏松等, 2022)。在此框架下,2022 年5 月,欧洲半导体工业协会与美国半导体行业协会达成了有关确保开放获取、维护国际标准、减少区域市场差异的“欧盟-美国半导体标准化合作指导原则”①(Bendiek et al., 2022):双方基于各自优势寻求在半导体供应链领域更好地合作,包括就敏感的两用技术进行技术磋商,并制订趋同控制方法;交换敏感技术出口、转让和研究等方面的信息;强化外国投资安全审查和监管;發展多边出口管制。

企业层面,2021 年白宫发布的“供应链百日审查报告”②认为,美国半导体产业在上游芯片设计自动化软件(EDA)、芯片设计和半导体制造设备领域居全球领先地位,但半导体制造和先进封装等领域存在供应链风险,缺乏7nm 以下芯片制造能力,芯片制造商严重依赖中国大陆市场,半导体材料主要依赖东亚国家。为了完善半导体供应链,美国增强了同日本、韩国和中国台湾地区的政策协调。同年5 月,美国以《美国芯片制造法案》中承诺的拨款补贴为饵,撮合欧洲、日本、韩国、中国台湾地区的半导体企业和下游用户共64 家企业组成美国半导体联盟(SIAC)③,试图打造一个贯穿半导体上下游产业链的联盟以孤立中国。

研发机构方面,2022 年5 月,日美工商伙伴关系(JUCIP)首次部长级会议达成了《半导体合作基本原则》。基于该协议,日本决定成立“尖端半导体技术中心”,与美国国家半导体技术中心等机构合作,共同开发2nm 及以下制程的芯片量产技术。

二、当前美国政府对华全方位管制要点

美国聚焦于高算力芯片,从产品、设计、制造到应用服务对我国采取了全方位、体系化的出口管制,试图全面遏制我国AI 产业发展。具体来说:

(一)限制芯片出口,切断我国获取算力的直接途径

高算力GPU 是培育AI 应用的基本土壤,该领域的双寡头——英伟达、AMD 几乎垄断了全球GPU 的生产供应。2022 年8 月,美国商务部分别致信英伟达和AMD,要求停止向我国出口A100、H100 和MI250 芯片。同年10 月,美国商务部在《出口管制清单》中正式新增“特定高算力芯片产品”(3A090)和“包含高算力芯片的计算机、电子组件和部件”(4A090)对华出口限制,同时满足两个性能条件——(1)芯片的I/O 带宽传输速率大于等于600Gbyte/s;(2)数字处理单元原始计算单元算力之和大于等于4800TOPS——的GPU 都将严格限制向中国出口。2023 年10 月,美国商务部下属工业和安全局进一步新增“总计算能力”和“性能密度”的阈值,将限制条件由“同时满足”收窄为“任一”参数违反限制条件均会触发出口管制(见图4),且对中国采取“推定拒绝”,即没有充分理由的情况下工业和安全局默认拒绝出口申请。

从芯片制程来看,处于ECCN 3A090 规定性能区间的GPU 都是7nm 制程,我国企业(如景嘉微、寒武纪等)虽然在GPU 领域积累了一定技术,但主要集中在低端市场,7nm 以下高算力GPU芯片全部依赖进口。从用户群体来看,受限制的高算力GPU 主要应用于数据中心、边缘计算、能源、超算等领域,国内大量云服务、自动驾驶方案企业和大型科研机构都在使用这些GPU。可见美国对华半导体的出口限制主要集中在7nm 及以下的高算力芯片领域,打压中国AI 和云计算能力的同时也避免波及美国成熟制程芯片贸易,正如美国商务部部长吉娜·雷蒙多(Gina Raimondo)所说,“(美国的)目标是限制中国获得先进半导体……无意在经济上伤害中国”。

(二)限制芯片制造设备及零部件出口,束缚我国芯片制造水平

2022 年10 月,拜登政府宣布更新《出口管理条例》中“外国直接产品”规则,限制“在美国境外生产的、依赖于美国原产软件和技术的制造设备所生产的产品”运往中国。目前涉及芯片制造的所有环节都不可避免地使用美国的生产设备或美国的技术(李巍等, 2022),这为美国利用外国直接产品规则限制非美国企业为中国企业代工生产芯片提供了现实条件。在新的外国直接产品规则下,美国不仅禁止本土企业向中国出口高算力芯片,也不允许非美国企业为中国企业代工生产芯片,任何芯片制造企业生产了中国实体所设计的芯片,日后都可能失去获得美国先进半导体制造设备或技术的机会。这意味着中国企业(或组织)即使有能力设计高算力芯片,也无法委托境外企业代工。

(三)限制芯片设计软件出口,扼杀我国高算力芯片设计能力

电子设计自动化(EDA)被喻为“芯片之母”,是芯片设计过程中完成逻辑编译、优化、分割、布局布线以及仿真测试等工作必需的软件工具。虽然国内的华大九天、华为等已能部分提供14nm制程的EDA 软件,但也仅是具备部分环节的设计能力,没有构成完整的开发闭环(见表3),且7nm 以下制程的设计软件依然严重依赖国外EDA 厂商(曲永义等, 2022)。早在2019 年,美国商务部就曾要求三大EDA 厂商(Synopsys、Cadence、Mentor Graphics)停止对华为的授权与更新;2022 年8 月,美国商务部进一步宣布对EDA 软件进行全面出口管制,特别是严格限制全栅极场效应晶体管(GAAFET)结构集成电路所必须的EDA 软件对华出口。因为GAAFET 是芯片突破3nm技术节点的关键(Mo et al., 2023),此举意在扼杀我国本土企业高算力芯片设计能力,预防中国跳过目前流行的鳍式场效应晶体管(FinFET)结构5nm 门槛,直接迭代到3nm 和2nm 芯片。

(四)限制涉及芯片的服务贸易,封锁我国间接获取算力的渠道

美政府对华半导体管制的严格程度已突破传统实物管控逻辑,不仅对半导体相关货物贸易实现全面管控,还将云计算租赁、人员交流等服务贸易列为出口管制的对象,本质上已上升至与核技术和生化技术相同的军事管制等级:一限制中国企业使用美国云计算服务。实体芯片被禁后,国内企业本可以寻求向国外云计算服务提供商租用开展AI 应用所需的算力,例如英伟达推出了搭载H100 或A100 高算力GPU 的DGX Cloud 云服务,但现在这种绕开管制间接获取算力的方式也面临不确定性,新的限制政策要求亚马逊、微软等美国云服务提供商在向中国企业提供使用先进制程AI 芯片的云计算服务之前必须先获得政府许可;二限制芯片相关投资。拜登2022 年8 月签署了关于“对华投资限制”的行政命令,授权美国财政部可禁止或限制美国企业向中国半导体、量子信息技术和AI 三个领域投资,并要求美国企业就其他科技领域的在华投资情况向美政府进行通报;三限制美国公民参与中国芯片开发与制造。除了对企业做出限制外,拜登政府对美国自然人行使管辖权也提出了前所未有的主张,严格限制位于世界任何地方的美国公民和永久居民参与中国开发生产超过《出口管理条例》规定的技术阈值的逻辑芯片和存储芯片。

三、破解美对华半导体管制的建议

面对美政府对华半导体的全方位管制,我国可考虑分别从经贸、技术、产学合作等层面综合发力(见图5)。具体来说:一是对外强化与非热点半导体国家的联系。虽然美、日、韩和中国台湾地区在半导体领域占有主导地位,但諸如新加坡、亚美尼亚、阿拉伯联合酋长国(阿联酋)、沙特阿拉伯(沙特)等以往容易被忽略的非热点国家也掌握部分中国需要的算力、半导体产能或技术,且这些非热点国家尚未与美国建立出口管制同盟,也未参与“常规武器和两用物品及技术出口瓦森纳协定”。在美国对半导体日益趋近武器级管制的现实情况下,强化与这些国家的经贸关系,中短期内可为跳出美国对华半导体封锁提供备用突破口;二是重视非传统技术路线半导体开发。依托国内既有市场需求及巨大市场潜力,探索以“全耗尽型绝缘上覆硅”(FD-SOI)、“芯粒”(Chiplet)等新型技术路线突破美技术封锁的可能;三是借鉴IMEC 模式汇聚企业与科研机构力量。建立共性技术机构是避免企业重复投入、提升半导体产业协作效率的必备选项,然而国内共性机构由于缺乏有效的运作模式,企业参与的意愿一直不高。对此,可考虑借鉴比利时微电子研究中心(IMEC)的运作模式,为本土半导体企业合作创造合作场景,增进同领域企业了解、信任和技术沟通,进而促成企业合作。

(一)经贸层面:对外强化与非热点半导体国家的联系

新加坡是东南亚最大的半导体生产国,具备可观的半导体生产能力。根据IC insights 数据,新加坡在全球晶圆、半导体设备市场分别占约5%、20%的市场份额,更重要的是集聚了许多受美政府监控较低的外资和本土企业(见表4),涉及半导体价值链的设计、制造、封测的关键环节:(1)晶圆制造领域,目前新加坡全境分布有5 个晶圆制造厂,除了美光、格罗方德等美资企业外,受美政府监控较低的联电、世界先进在新加坡各有1 个晶圆厂,约占新加坡全部半导产能15%左右。本土企业“特许半导体”一度是台积电、联电之后全球第三大半导体代工厂,另一家初创企业Silicon Box 计划投资20 亿美元在当地设立专注于“芯粒”(Chiplet)芯片的代工厂。目前已完成厂房建设;(2)半导体设计领域,新加坡有本土企业微电子研究所(IME)。德国芯片企业英飞凌在新加坡设立了亚太(不含日本)区域总部,承担半导体设计、测试、应用和系统开发等研发职能以及供应链、区域销售、营销等商务职能,同时英飞凌全球营收50%以上来自新加坡区域总部;(3)先进封装领域,新加坡微电子研究所(IME)的知识溢出吸引了中国大陆和中国台湾地区不少封测企业到新加坡投资封测厂,其中台资企业日月光的营收规模约占新加坡封测行业的20%~25%,本土企业星朋科技(后被大陆企业长电科技收购)的营收规模占比约10%。

亚美尼亚具有一定半导体技术储备。亚美尼亚是前苏联第一台计算机诞生地,被称为前苏联“硅谷”(Inzelt, 2015)。在前苏联加盟共和国中亚美尼亚人均博士数量最多,是前苏联先进技术和创新中心之一,但受经济体量和地缘政治影响,亚美尼亚在半导体方面的技术能力一直未被外界所了解。基于前苏联时期的技术与教育遗产,亚美尼亚将半导体设计业务定调为国家经济增长的主轴(Amirkhanyan, 2017)。全国290 多万人口中有近2 万人从事集成电路工作,超过1.5 万名软硬件工程师、开发人员和IT 项目经理等岗位技术人员支撑亚美尼亚成为高加索地区的科技中心(Aprahamian, 2022)。丰富、优质的人才资源吸引了Synopsys、Mentor Graphics 两家EDA 巨头和英特尔等知名企业在亚美尼亚设有芯片设计研发中心(Shoukourian et al., 2019)。

阿联酋、沙特掌握庞大算力,在晶圆代工厂领域拥有较高的话语权。两国虽然尚未在其国内建立上规模的半导体工厂,但正以投资人身份积极融入全球半导体价值链(Hanafi et al., 2021)。在算力方面,沙特阿卜杜拉国王科技大学购买储备了超3 000 块H100 芯片①并公开表示其目标是成为AI 领域的领导者(Bakry et al., 2021; Memish et al., 2021)。阿联酋也获得了数千块英伟达芯片并部署于阿布扎比国有技术创新研究院开发大语言模型。并且在半导体设计与制造方面,阿联酋主权财富基金是全球第三大晶圆代工企业格罗方德的最大股东,同时也广泛投资了以色列高塔半导体、新加坡特许半导体等晶圆制造和半导体设计企业。在半导体材料与制造设备方面,日本经济产业省2023 年8 月已开始与阿联酋阿布扎比政府投资基金协调启动工作层面的谈判,吸引阿联酋投资日本半导体材料公司和半导体制造设备企业。

(二)技术层面:重视非传统技术路线半导体开发

限制高算力芯片制造能力是美国对我国出口管制和战略打压的关键,必将长期持续,短期内我国在既有芯片技术路线上很难实现突破,但“全耗尽型绝缘上覆硅”(FD-SOI)、“芯粒”(Chiplet)等新型芯片制造工艺为我国寻找国产替代和换道超车提供了战略机遇。相比于成熟的FinFET 技术路线,FD-SOI 的特色是在保证高算力状态下的低功耗(刘一凡等, 2022),Chiplet 则允许CPU、存储器、模拟接口等组件单元灵活选择不同的工艺分别进行生产,再通过先进封装技术封装成一个芯片,从而可以灵活平衡计算性能与成本,实现功能模块的最优配置而不必受限于晶圆制程工艺(陈桂林等, 2022)。对此,要依托我国在数字经济、电子信息、智能家居领域的既有场景,以及在工业互联网、物联网、车联网领域的潜在市场,以需求牵引FDSOI、Chiplet 技术路线成熟完善。同时,加快新一轮科技重大专项部署,以更大的力度、更有效的组织方式推进01 和02 集成电路专项,加大对非传统技术路线的支持,实现基于非对称竞争的技术突围。

(三)产学协作层面:借鉴IMEC 模式汇聚企业与科研机构力量

我国半导体产业的现状可以概括为具备点的纵向突破潜力,缺乏链的横向整合。从“单兵作战能力”角度看,半导体企业的业务已涉足产业链上下游多数环节,个别企业在某个技术节点突破封锁的潜在能力也已获得“实体清单”的“认可”。然而,本土企业与国际领先企业的差距不仅是绝对技术上的代际差,更重要的是“协同作战能力”上的差距,即本土企业建立生态闭环的能力与国际巨头相比还相差甚远。从这点来看,突破美国“卡脖子”,企业间交流、合作、抱团取暖至关重要。目前,我们缺乏有效的模式促进企业间的横向整合,将账面数据转化为真实的耦合协作,搭建完整的产业链。对此,可考虑借鉴比利时微电子研究中心(IMEC)的运作模式(见表5),通过建立非营利性研发组织,为本土半导体企业合作创造合作场景,促进企业交流互动,增进同领域企业了解和技术沟通,增进企业间信任与合作。

IMEC 是欧洲最成功的半导体研究机构之一,在半导体产业突破性技术开发、基础研究成果转化方面积累了雄厚的实力,在半导体器件类别下专利数量超4 987 件,专利价值度世界领先(柳卸林等, 2021)。ASML 的浸没式光刻机原型机便是在IMEC 实验室研制成功的(Hancké et al., 2022)。IMEC 模式的要点可以归结为:(1)技术中立与非营利性。IMEC 一直坚持非市场参与者身份和“弥合基础研究与应用研究、开发之间的差距”的使命,前者意味着不直接参与研究成果的商业化从而不会对合作企业构成竞争威胁(李红等, 2018),后者意味着机构目标是真正解决技术难题从而吸引共同志向的科研机构参与合作(陈凤等, 2019),为吸引学术界与产业界参与平台项目提供了信任基础;(2)会员制。IMEC 虽然属于共性技术供给机构,但运转资金并不是由政府提供,而是以会员制招募企业加入,由企业提供资金和人才前往参加某个研究专项(张嘉毅等, 2022)。企业必须在广泛的、一致同意的框架内进行相关知识开发活动,并遵守IMEC 订立的成本、收益、成果分配方案。与企业牵头的创新生态所具有的天然竞争关系与相互猜忌、保留不同,IMEC 基于共享知识产权(IP)控制权保持其中心地位,会员企业可以共享使用IMEC 的IP 资源,在IP 池基础上构建自己的独特的突破性技术、支撑技术、互补技术组合(Ryckaert et al., 2008),但是这些专有创新无法脱离共享IP 单独获取价值,从而限制合作企业之间的知识封锁,避免利益沖突和携播行为,维持合作网络稳定和知识良性利用(Helleputte et al., 2004);(3)知识所有权和使用权分离。IMEC在开放共享自有IP 的基础上,对于不同的合作专项制定针对性条款,提前确定研究成果的知识产权分配方案、每位成员的研发收益与相应承担的费用(Leten et al., 2013)。会员企业基于共享IP 研究取得的新IP,会被分为R0、R1/R1*、R2 三个级别,R0 级所有权归IMEC,用于补充共享IP 池;R1/R1*级由IMEC 和为本专案出资的会员共同拥有所有权,其他会员有使用权;R2 级产权与使用权归独立出资研发的会员所有。R0、R1/R1*、R2 不同类型IP 的组合使每个会员都可以在创新活动中获得独特经济价值;(4)信息集散中心。IMEC 汇聚了近4 000 名研究人员及工程师,这些人员除1 000 名左右为IMEC 的固定研究人员外,其他研究人员来自于约85 个国家的企业派遣(柳卸林等, 2021)。因此,IMEC 不仅汇集了资金和人才,更汇集了来自全球制造一线的最新消息。日本最大设备制造商东京威力科创的会长东泽郎曾表示,“把人员送到这里的目的不光为了研究开发,同时也为了搜集情报。如果不和IMEC 保持良好关系,就无法知道最先进的技术动向,因为这里就像聚集技术人员的俱乐部,虽然也存在竞争,但基本上保持了自由交流的气氛。”

參考文献

[1] 陈凤,余江,甘泉,张越. 国立科研机构如何牵引核心技术攻坚体系:国际经验与启示[J]. 中国科学院院刊,2019, 34(8): 920-925.

[2] 陈桂林,王观武,胡健,王康,许东忠. Chiplet 封装结构与通信结构综述[J]. 计算机研究与发展,2022, 59(1):22-30.

[3] 韩召颖,刘锦. 拜登政府对华高技术出口管制政策[J]. 国际展望,2023, 15(6): 21-39.

[4] 黄日涵,高恩泽.“小院高墙”:拜登政府的科技竞争战略[J]. 外交评论(外交学院学报),2022, 39(2): 133-154.

[5] 李红,左金萍. 高新技术产业创新生态系统的知识产权价值获取模型设计——基于IMEC 的案例分析[J]. 中国科技论坛,2018(10): 93-100.

[6] 李巍,李玙译. 解析美国的半导体产业霸权:产业权力的政治经济学分析[J]. 外交评论(外交学院学报),2022, 39(1): 22-58.

[7] 刘宏松,陈荒拓. 欧盟参与美欧贸易与技术委员会的目标与困境[J]. 德国研究,2022, 37(4): 28-46.

[8] 刘一凡,张志勇. 后摩尔时代的碳基电子技术:进展、应用与挑战[J]. 物理学报,2022, 71(6): 7-42.

[9] 柳卸林,常馨之,董彩婷. 构建创新生态系统,实现核心技术突破性创新——以IMEC 在集成电路领域创新实践为例[J]. 科学学与科学技术管理,2021, 42(9): 3-18.

[10] 曲永义,李先军. 创新链赶超:中国集成电路产业的创新与发展[J]. 经济管理,2022, 44(9): 5-26.

[11] 张嘉毅,原长弘. 产学研融合的组织模式特征——基于不同主体主导的典型案例研究[J]. 中国科技论坛,2022(5): 71-80.

[12] AMIRKHANYAN V A. IT sector as the most perspective high-tech industry in the republic of Armenia[J]. European Journal of Economic Studies, 2017, 6(1): 18-24.

[13] APRAHAMIAN A. Armenia: a regional science and technology center in the Caucasus?[J]. Nuclear Physics News,2022, 32(4): 12-15.

[14] BAKRY S H, SAUD B A. Al, A roadmap to ai: an insight from the Saudi vision 2030[J]. Artificial Intelligence and Its Contexts: Security, Business and Governance, 2021: 201-223.

[15] BENDIEK A, STURZER I. Advancing European internal and external digital sovereignty: the brussels effect and the eu-us trade and technology council[R/OL]. (2021-11-3) [2023-11-23]. https://www.swp-berlin.org/en/publication/advancing-european-internal-and-external-digital-sovereignty.

[16] GROVE J, UPDYKE C. Policy brief/discussion paper considering the US-EU TTC working group on technology standards[R/OL]. (2021-11-30) [2023-11-23]. https://www.transatlantic.org/wp-content/uploads/2021/12/11-30-2021-Grove_WGTransatlanticEconomy-v2.pdf.

[17] HANAFI M, KSHETRI N, SHARMA R. Economics of artificial intelligence in the gulf cooperation council countries[J]. Computer, 2021, 54(12): 92-98.

[18] HANCKE B, GARCIA C A. Mister chips goes to brussels: on the pros and cons of a semiconductor policy in the EU[J].Global Policy, 2022, 13(4): 585-593.

[19] HELLEPUTTE J V, REID A. Tackling the paradox: can attaining global research excellence be compatible with local technology development?[J]. R&D Management, 2004, 34(1): 33-44.

[20] INZELT A. Re-aligning the triple helix in post-soviet Armenia[J]. Triple Helix, 2015, 2(1): 1-27.

[21] LASKAI L, SACKS S. The right way to protect Americas innovation advantage[J/OL]. Foreign Affairs, 2018, [2018-8-23]. https://www.foreignaffairs.com/articles/2018-10-23/right-wayprotect-americas-innovation-advantage.

[22] LETEN B, VANHAVERBEKE W, ROIJAKKERS N, CLERIX A, VAN H J. IP models to orchestrate innovation ecosystems: IMEC, a public research institute in nano-electronics[J]. California management review, 2013, 55(4): 51-64.

[23] MEMISH Z A, ALTUWAIJRI M M, ALMOEEN A H, Enani Sarah M. The Saudi data & artificial intelligence authority(SDAIA) vision: leading the kingdoms journey toward global leadership[J]. Journal of Epidemiology and Global Health, 2021, 11(2): 140.

[24] MO F, SPANO C E, ARDESI Y, RUO R M, PICCININI G, VACCA M. NS-GAAFT compact modeling: technological challenges in sub-3-nm circuit performance[J]. Electronics, 2023, 12(6): 1487.

[25] RASSER M, ARCESATI R, OYA S, RIIKONEN A, BOCHERT M. Common Code: An alliance framework for democratic technology policy[R/OL]. (2020-10-21)[2023-11-23]. https://cn.bing.com/search?q=An+alliance+framework+ for+democratic+technology+policy.+Center+for+a+New+American+Security&form=QBLHCN&sp=-1&lq=0&pq=&sc=0-0&qs=n&sk=&cvid=95F9901A99854434841817707CD10688&ghsh=0&ghacc=0&ghpl=.

[26] RYCKAERT V, VAN B K. IMEC industrial affiliation program (IIAP) as IPR model to set up nanotechnology research and patenting[J]. World Patent Information, 2008, 30(2): 101-105.

[27] SHOUKOURIAN S, SHOUKOURIAN Y, SAHAKYAN V. Armenia: communicating to world community in electronic test and design[C]. 2019 IEEE International Test Conference (ITC)2019, 1-3.

猜你喜欢
半导体芯片
芯片会议
太阳能半导体制冷应用及现状
2018第十六届中国半导体封测年会
一体化半导体激光器的ANSYS热仿真及结构设计
芯片测试
多通道采样芯片ADS8556在光伏并网中的应用
采用半导体光放大器抑制SFS相对强度噪声
GAN 蓝光LED 正装小芯片和功率型芯片
一种基于MSP430的半导体激光治疗仪
意法半导体与物联网